浓毛老太交欧美老妇热爱乱,蜜臀性色av免费,妺妺窝人体色www看美女,久久久久久久久久久大尺度免费视频,麻豆人妻无码性色av专区

位置:51電子網(wǎng) » 技術(shù)資料 » EDA/PLD

CoolRunner-II器件的使用時鐘分頻器

發(fā)布時間:2008/9/17 0:00:00 訪問次數(shù):619

  coolrunner-ii器件在xc2c128(128個宏單元)以上的器件內(nèi)嵌入了一個時鐘分頻器模塊,該模塊具有兩個控制輸入腳,即gck2(全局時鐘輸入腳)和cdrst(外部同步復(fù)位腳);兩個延遲控制位用于設(shè)置當(dāng)復(fù)位信號撤銷后,是否需要延遲后輸出分頻信號。時鐘分頻系數(shù)η為2、 4、 6、 8、 10、 12、 14和16。
  ise 10設(shè)計工具中的xst綜合工具可以自動地推論以下分頻模塊庫。
 。1)clk_divn:不帶復(fù)位和延遲控制的分頻器(η為2、4、6、8、10、12、14和16)。
 。2)clk_divnr:帶復(fù)位,但沒有起始延遲控制的分頻器。
  (3)clk_divnsd:沒有復(fù)位控制,但需要進(jìn)行起始延遲控制的分頻器。
 。4)clk_divnrsd:包括復(fù)位和起始延遲控制的分頻器。
  例1:vhdl語言。
 。1)2分頻(沒有復(fù)位和起始延遲控制)。
  component clk_div2 is
port (clikin : in std_logic;
clkdv : out stu logic);
and component;

ui: clk_div2 port map(clkin => clk、
clkdv => clk_div_by_2);
(2)16分頻(復(fù)位和帶有起始延遲控制)。
component clk_div16rsd is
port (clkin : in std_logic;
  
  cdrst : in std_logic;
  clkdv : out std_logic);
end component;

  u1:clk_div16rsd port map (clkin => clk,
cdrst => clk_div_rst,
clkdv => clk_div_dy_16);

  例2: verilog語言。
  clk_div16rsd u1(
. clkin (clk)、
. cdrst (clk_div_rst)、
.clkdv (clk_div_by_16)
);

  歡迎轉(zhuǎn)載,信息來自維庫電子市場網(wǎng)(www.dzsc.com)



  coolrunner-ii器件在xc2c128(128個宏單元)以上的器件內(nèi)嵌入了一個時鐘分頻器模塊,該模塊具有兩個控制輸入腳,即gck2(全局時鐘輸入腳)和cdrst(外部同步復(fù)位腳);兩個延遲控制位用于設(shè)置當(dāng)復(fù)位信號撤銷后,是否需要延遲后輸出分頻信號。時鐘分頻系數(shù)η為2、 4、 6、 8、 10、 12、 14和16。
  ise 10設(shè)計工具中的xst綜合工具可以自動地推論以下分頻模塊庫。
  (1)clk_divn:不帶復(fù)位和延遲控制的分頻器(η為2、4、6、8、10、12、14和16)。
 。2)clk_divnr:帶復(fù)位,但沒有起始延遲控制的分頻器。
 。3)clk_divnsd:沒有復(fù)位控制,但需要進(jìn)行起始延遲控制的分頻器。
 。4)clk_divnrsd:包括復(fù)位和起始延遲控制的分頻器。
  例1:vhdl語言。
  (1)2分頻(沒有復(fù)位和起始延遲控制)。
  component clk_div2 is
port (clikin : in std_logic;
clkdv : out stu logic);
and component;

ui: clk_div2 port map(clkin => clk、
clkdv => clk_div_by_2);
(2)16分頻(復(fù)位和帶有起始延遲控制)。
component clk_div16rsd is
port (clkin : in std_logic;
  
  cdrst : in std_logic;
  clkdv : out std_logic);
end component;

  u1:clk_div16rsd port map (clkin => clk,
cdrst => clk_div_rst,
clkdv => clk_div_dy_16);

  例2: verilog語言。
  clk_div16rsd u1(
. clkin (clk)、
. cdrst (clk_div_rst)、
.clkdv (clk_div_by_16)
);

  歡迎轉(zhuǎn)載,信息來自維庫電子市場網(wǎng)(www.dzsc.com)



相關(guān)IC型號

熱門點(diǎn)擊

 

推薦技術(shù)資料

聲道前級設(shè)計特點(diǎn)
    與通常的Hi-Fi前級不同,EP9307-CRZ這臺分... [詳細(xì)]
版權(quán)所有:51dzw.COM
深圳服務(wù)熱線:13692101218  13751165337
粵ICP備09112631號-6(miitbeian.gov.cn)
公網(wǎng)安備44030402000607
深圳市碧威特網(wǎng)絡(luò)技術(shù)有限公司
付款方式


 復(fù)制成功!