CoolRunner-II器件的使用時鐘分頻器
發(fā)布時間:2008/9/17 0:00:00 訪問次數(shù):619
coolrunner-ii器件在xc2c128(128個宏單元)以上的器件內(nèi)嵌入了一個時鐘分頻器模塊,該模塊具有兩個控制輸入腳,即gck2(全局時鐘輸入腳)和cdrst(外部同步復(fù)位腳);兩個延遲控制位用于設(shè)置當(dāng)復(fù)位信號撤銷后,是否需要延遲后輸出分頻信號。時鐘分頻系數(shù)η為2、 4、 6、 8、 10、 12、 14和16。
ise 10設(shè)計工具中的xst綜合工具可以自動地推論以下分頻模塊庫。
。1)clk_divn:不帶復(fù)位和延遲控制的分頻器(η為2、4、6、8、10、12、14和16)。
。2)clk_divnr:帶復(fù)位,但沒有起始延遲控制的分頻器。
(3)clk_divnsd:沒有復(fù)位控制,但需要進(jìn)行起始延遲控制的分頻器。
。4)clk_divnrsd:包括復(fù)位和起始延遲控制的分頻器。
例1:vhdl語言。
。1)2分頻(沒有復(fù)位和起始延遲控制)。
component clk_div2 is
port (clikin : in std_logic;
clkdv : out stu logic);
and component;
ui: clk_div2 port map(clkin => clk、
clkdv => clk_div_by_2);
(2)16分頻(復(fù)位和帶有起始延遲控制)。
component clk_div16rsd is
port (clkin : in std_logic;
cdrst : in std_logic;
clkdv : out std_logic);
end component;
u1:clk_div16rsd port map (clkin => clk,
cdrst => clk_div_rst,
clkdv => clk_div_dy_16);
例2: verilog語言。
clk_div16rsd u1(
. clkin (clk)、
. cdrst (clk_div_rst)、
.clkdv (clk_div_by_16)
);
歡迎轉(zhuǎn)載,信息來自維庫電子市場網(wǎng)(www.dzsc.com)
coolrunner-ii器件在xc2c128(128個宏單元)以上的器件內(nèi)嵌入了一個時鐘分頻器模塊,該模塊具有兩個控制輸入腳,即gck2(全局時鐘輸入腳)和cdrst(外部同步復(fù)位腳);兩個延遲控制位用于設(shè)置當(dāng)復(fù)位信號撤銷后,是否需要延遲后輸出分頻信號。時鐘分頻系數(shù)η為2、 4、 6、 8、 10、 12、 14和16。
ise 10設(shè)計工具中的xst綜合工具可以自動地推論以下分頻模塊庫。
(1)clk_divn:不帶復(fù)位和延遲控制的分頻器(η為2、4、6、8、10、12、14和16)。
。2)clk_divnr:帶復(fù)位,但沒有起始延遲控制的分頻器。
。3)clk_divnsd:沒有復(fù)位控制,但需要進(jìn)行起始延遲控制的分頻器。
。4)clk_divnrsd:包括復(fù)位和起始延遲控制的分頻器。
例1:vhdl語言。
(1)2分頻(沒有復(fù)位和起始延遲控制)。
component clk_div2 is
port (clikin : in std_logic;
clkdv : out stu logic);
and component;
ui: clk_div2 port map(clkin => clk、
clkdv => clk_div_by_2);
(2)16分頻(復(fù)位和帶有起始延遲控制)。
component clk_div16rsd is
port (clkin : in std_logic;
cdrst : in std_logic;
clkdv : out std_logic);
end component;
u1:clk_div16rsd port map (clkin => clk,
cdrst => clk_div_rst,
clkdv => clk_div_dy_16);
例2: verilog語言。
clk_div16rsd u1(
. clkin (clk)、
. cdrst (clk_div_rst)、
.clkdv (clk_div_by_16)
);
歡迎轉(zhuǎn)載,信息來自維庫電子市場網(wǎng)(www.dzsc.com)
熱門點(diǎn)擊
- FPGA中增加SPI和BPI配置模式
- 基于FPGA內(nèi)部的FIFO設(shè)計
- 可編程邏輯器件PLA乘積項陣列
- SmartXplorer技術(shù)
- FPGA器件配置電平和接口標(biāo)準(zhǔn)
- XMD軟件的調(diào)試設(shè)計
- 特定約束FROM TO
- 什么是C語言中的寬字符與多字節(jié)字符
- 周期約束分析
- 新款高性能硬件仿真器——Wind River
推薦技術(shù)資料
- 聲道前級設(shè)計特點(diǎn)
- 與通常的Hi-Fi前級不同,EP9307-CRZ這臺分... [詳細(xì)]
- 高頻、高效音頻功放IC模塊
- 8英寸180納米GaN固態(tài)變壓器(SST)
- 新一代光纖通信光收發(fā)器接收器芯
- 第三代半導(dǎo)體SiC(碳化硅)和
- 全球半導(dǎo)體產(chǎn)業(yè)終端市場需求及技
- GaN與SiC材料單片集成技術(shù)
- 多媒體協(xié)處理器SM501在嵌入式系統(tǒng)中的應(yīng)用
- 基于IEEE802.11b的EPA溫度變送器
- QUICCEngine新引擎推動IP網(wǎng)絡(luò)革新
- SoC面世八年后的產(chǎn)業(yè)機(jī)遇
- MPC8xx系列處理器的嵌入式系統(tǒng)電源設(shè)計
- dsPIC及其在交流變頻調(diào)速中的應(yīng)用研究